Journal

[16] Jueun Jung, Seungbin Kim, Wuyoung Jang, Bokyoung Seo, and Kyuho Lee

An Energy-Efficient, Unified CNN Accelerator for Real-Time Multi-Object Semantic Segmentation for Autonomous Vehicle

IEEE Transactions on Circuits and Systems I (T-CAS I): Regular Papers, vol. 71, no. 5, pp. 2093-2104, May 2024.

[15] Hoichang Jeong, Seungbin Kim, Keonhee Park, Jueun Jung, and Kyuho Lee

A Ternary Neural Network Computing-in-Memory Processor with 16T1C Bitcell Architecture

IEEE Transactions on Circuits and Systems II (T-CAS II): Express Briefs, vol. 70, no. 5, pp. 1739-1743, May 2023.

[14] Kyuho J. Lee

Architecture of neural processing unit for deep neural networks

Advances in Computers, Elsevier, vol. 122, pp. 217-245, April 2021.

[13] Kyuho Lee, Jinmook Lee, Sungpill Choi, and Hoi-Jun Yoo

The Development of Silicon for AI: Different Design Approaches

IEEE Transactions on Circuits and Systems I (T-CAS I): Regular Papers, vol. 67, no. 12, pp. 4719-4732, December 2020.

[12] Sungpill Choi, Kyuho Jason Lee, Yongwoo Kim, and Hoi-Jun Yoo

“A 9.52 ms Latency, and Low-power Streaming Depth-estimation Processor with Shifter-based Pipelined Architecture for Smart Mobile Devices”

Journal of Semiconductor Technology and Science (JSTS), vol. 20, no. 3, pp. 255-270, June 2020.

[11] Jae Won Jeong, Young-Eun Choi, Woo-Seok Kim, Jee-Ho Park, Sunmean Kim, Sunhae Shin, Kyuho Lee, Jiwon Chang, Seong-Jin Kim, and Kyung Rok Kim

Tunneling-based ternary metal-oxide-semiconductor technology

Nature Electronics (Nat. Electron), vol. 2, issue 7, pp. 307-312, July 2019.

[10] Kyuho Lee, Junyoung Park, and Hoi-Jun Yoo

A Low-power, Mixed-mode Neural Network Classifier for Robust Scene Classification

Journal of Semiconductor Technology and Science (JSTS), vol. 19, no. 1, pp. 129-136, February 2019.

[9] Minseo Kim, Jaeeun Jang, Hyunki Kim, Jihee Lee, Jaehyuck Lee, Jiwon Lee, Kyungrog Lee, Kwante Kim, Yongsu Lee, Kyuho Jason Lee, and Hoi-Jun Yoo

A 1.4mW-Sensitivity 94-dB Dynamic-Range Electrical Impedance Tomography SoC and 48-Channel Hub-SoC for 3-D Lung Ventilation Monitoring System

IEEE Journal of Solid-State Circuits (JSSC), vol. 52, no. 11, pp. 2829-2842, October 2017.

[8] Minseo Kim, Unsoo Ha, Kyuho Jason Lee, Yongsu Lee, and Hoi-Jun Yoo

A 82-nW Chaotic Map True Random Number Generator Based on a Sub-Ranging SAR ADC”

IEEE Journal of Solid-State Circuits (JSSC), vol. 52, no. 7, pp. 1953-1965, July 2017.

[7] Kyuho Jason Lee, Kyeongryeol Bong, Changhyeon Kim, Jaeeun Jang, Kyoung-Rog Lee, Jihee Lee, Gyeonghoon Kim, and Hoi-Jun Yoo

A 502GOPS and 0.984mW Dual-mode Intelligent ADAS SoC with Real-Time Semi-Global Matching and Intention Prediction for Smart Automotive Black Box System

IEEE Journal of Solid-State Circuits (JSSC), vol. 52, no. 1, pp. 139-150, January 2017.

[6] Changhyeon Kim, Kyeongryeol Bong, Sungpill Choi, Kyuho Jason Lee, and Hoi-Jun Yoo

A CMOS Image Sensor-based Stereo Matching Accelerator with Focal-plane Rectification and Analog Census Transform

IEEE Transactions on Circuits and Systems I (T-CAS I): Regular Papers, vol. 63, no. 12, pp. 2180-2188, December 2016.

[5] Injoon Hong, Kyeongryeol Bong, Dongjoo Shin, Seongwook Park, Kyuho Jason Lee, Youchang Kim, and Hoi-Jun Yoo

A 2.71nJ/Pixel Gaze-Activated Object Recognition System for Low-power Mobile Smart Glasses

IEEE Journal of Solid-State Circuits (JSSC), vol. 51, no. 1, pp. 45-55, January 2016.

[4] Hyunwoo Cho, Hyunki Kim, Minseo Kim, Jaeeun Jang, Yongsu Lee, Kyuho Jason Lee, Joonsung Bae, and Hoi-Jun Yoo

A 79pJ/b 80Mb/s Full-Duplex Transceiver and a 42.5mW 100kb/s Super-Regenerative Transceiver for Body Channel Communication

IEEE Journal of Solid-State Circuits (JSSC), vol. 51, no. 1, pp. 310-317, January 2016.

[3] Kyuho Jason Lee, Gyeonghoon Kim, Junyoung Park, and Hoi-Jun Yoo,

A Vocabulary Forest Object Matching Processor with 2.07 M-vector/s Throughput and 13.3 nJ/vector Per-Vector Energy for Full-HD 60 fps Video Object Recognition

IEEE Journal of Solid-State Circuits (JSSC), vol. 50, no. 4, pp. 1059-1069, April 2015.

[2] Gyeonghoon Kim, Kyuho Lee, Youchang Kim, Seongwook Park, Injoon Hong, Kyeongryeol Bong, and Hoi-Jun Yoo

A 1.22 TOPS and 1.52mW/MHz Augmented Reality Multi-Core Processor with Neural Network NoC for HMD Applications

IEEE Journal of Solid-State Circuits (JSSC), vol. 50, no. 1, pp. 113-124, January 2015.

[1] Gyeonghoon Kim, Donghyun Kim, Seongwook Park, Youchang Kim, Kyuho Lee, Injoon Hong, Kyeongryeol Bong, and Hoi-Jun Yoo

An Augmented Reality Processor with a Congestion-Aware Network-on-Chip Scheduler

IEEE MICRO, vol. 34, no. 6, pp. 31-41, November-December 2014.